top of page

[Chisel/scala] class Module is abstract; cannot be instantiated


Phenomenon

The following chisel code causes the compilation error:

val parts = new Module(new MyParts())
class Module is abstract; cannot be instantiated

Causes and Solutions

This is because I added "new" to Module().

Adding "new" to the class is interpreted as a constructor, but since Module is an abstract class, it does not have a constructor, which leads to the above error.


The following is correct.

val parts = Module(new MyParts())

If you do not add new, this is interpreted as a factory method and no compilation error occurs.

Recent Posts

See All

[chisel/scala] Create an array of Modules

What I want to do I have a class (parts) that I created and that inherits from Module. I want to place multiple instances (components) of this class in a circuit. What I tried I tried to create an arr

Let's do our best with our partner:​ ChatReminder

iphone6.5p2.png

It is an application that achieves goals in a chat format with partners.

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

Let's do our best with our partner:​ ChatReminder

納品:iPhone6.5①.png

It is an application that achieves goals in a chat format with partners.

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

Theme diary: Decide the theme and record for each genre

It is a diary application that allows you to post and record with themes and sub-themes for each genre.

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png
bottom of page